axis_oscilloscope.v 2.1 KB

123456789101112131415161718192021222324252627282930313233343536373839404142434445464748495051525354555657585960616263646566676869707172737475767778798081828384858687888990919293
  1. `timescale 1 ns / 1 ps
  2. module axis_oscilloscope #
  3. (
  4. parameter integer AXIS_TDATA_WIDTH = 32,
  5. parameter integer CNTR_WIDTH = 12
  6. )
  7. (
  8. // System signals
  9. input wire aclk,
  10. input wire aresetn,
  11. input wire run_flag,
  12. input wire trg_flag,
  13. input wire [CNTR_WIDTH-1:0] pre_data,
  14. input wire [CNTR_WIDTH-1:0] tot_data,
  15. output wire [CNTR_WIDTH:0] sts_data,
  16. // Slave side
  17. input wire [AXIS_TDATA_WIDTH-1:0] s_axis_tdata,
  18. input wire s_axis_tvalid,
  19. output wire s_axis_tready,
  20. // Master side
  21. output wire [AXIS_TDATA_WIDTH-1:0] m_axis_tdata,
  22. output wire m_axis_tvalid
  23. );
  24. reg [CNTR_WIDTH-1:0] int_addr_reg, int_cntr_reg;
  25. reg int_run_reg, int_pre_reg, int_trg_reg, int_tot_reg;
  26. always @(posedge aclk)
  27. begin
  28. if(~aresetn)
  29. begin
  30. int_addr_reg <= {(CNTR_WIDTH){1'b0}};
  31. int_cntr_reg <= {(CNTR_WIDTH){1'b0}};
  32. int_run_reg <= 1'b0;
  33. int_pre_reg <= 1'b0;
  34. int_trg_reg <= 1'b0;
  35. int_tot_reg <= 1'b0;
  36. end
  37. else if(int_run_reg)
  38. begin
  39. if(int_pre_reg & trg_flag)
  40. begin
  41. int_trg_reg <= 1'b1;
  42. end
  43. if(s_axis_tvalid)
  44. begin
  45. int_cntr_reg <= int_cntr_reg + 1'b1;
  46. if(int_cntr_reg == pre_data)
  47. begin
  48. int_pre_reg <= 1'b1;
  49. end
  50. if(~int_tot_reg & int_trg_reg)
  51. begin
  52. int_addr_reg <= int_cntr_reg;
  53. int_cntr_reg <= pre_data + int_cntr_reg[5:0];
  54. int_tot_reg <= 1'b1;
  55. end
  56. if(int_tot_reg & (int_cntr_reg == tot_data))
  57. begin
  58. int_run_reg <= 1'b0;
  59. end
  60. end
  61. end
  62. else if(run_flag)
  63. begin
  64. int_addr_reg <= {(CNTR_WIDTH){1'b0}};
  65. int_cntr_reg <= {(CNTR_WIDTH){1'b0}};
  66. int_run_reg <= 1'b1;
  67. int_pre_reg <= 1'b0;
  68. int_trg_reg <= 1'b0;
  69. int_tot_reg <= 1'b0;
  70. end
  71. end
  72. assign sts_data = {int_addr_reg, int_run_reg};
  73. assign s_axis_tready = 1'b1;
  74. assign m_axis_tdata = s_axis_tdata;
  75. assign m_axis_tvalid = int_run_reg & s_axis_tvalid;
  76. endmodule