axis_constant.v 407 B

12345678910111213141516171819202122
  1. `timescale 1 ns / 1 ps
  2. module axis_constant #
  3. (
  4. parameter integer AXIS_TDATA_WIDTH = 32
  5. )
  6. (
  7. // System signals
  8. input wire aclk,
  9. input wire [AXIS_TDATA_WIDTH-1:0] cfg_data,
  10. // Master side
  11. output wire [AXIS_TDATA_WIDTH-1:0] m_axis_tdata,
  12. output wire m_axis_tvalid
  13. );
  14. assign m_axis_tdata = cfg_data;
  15. assign m_axis_tvalid = 1'b1;
  16. endmodule