axis_zeroer.v 670 B

123456789101112131415161718192021222324252627
  1. `timescale 1 ns / 1 ps
  2. module axis_zeroer #
  3. (
  4. parameter integer AXIS_TDATA_WIDTH = 32
  5. )
  6. (
  7. // System signals
  8. input wire aclk,
  9. // Slave side
  10. output wire s_axis_tready,
  11. input wire [AXIS_TDATA_WIDTH-1:0] s_axis_tdata,
  12. input wire s_axis_tvalid,
  13. // Master side
  14. input wire m_axis_tready,
  15. output wire [AXIS_TDATA_WIDTH-1:0] m_axis_tdata,
  16. output wire m_axis_tvalid
  17. );
  18. assign s_axis_tready = m_axis_tready;
  19. assign m_axis_tdata = s_axis_tvalid ? s_axis_tdata : {(AXIS_TDATA_WIDTH){1'b0}};
  20. assign m_axis_tvalid = 1'b1;
  21. endmodule