axis_bram_reader.v 4.2 KB

123456789101112131415161718192021222324252627282930313233343536373839404142434445464748495051525354555657585960616263646566676869707172737475767778798081828384858687888990919293949596979899100101102103104105106107108109110111112113114115116117118119120121122123124125126127128129130131132133134135136137138139140141142143144145146147148149150151
  1. `timescale 1 ns / 1 ps
  2. module axis_bram_reader #
  3. (
  4. parameter integer AXIS_TDATA_WIDTH = 32,
  5. parameter integer BRAM_DATA_WIDTH = 32,
  6. parameter integer BRAM_ADDR_WIDTH = 10,
  7. parameter CONTINUOUS = "FALSE"
  8. )
  9. (
  10. // System signals
  11. input wire aclk,
  12. input wire aresetn,
  13. input wire [BRAM_ADDR_WIDTH-1:0] cfg_data,
  14. output wire [BRAM_ADDR_WIDTH-1:0] sts_data,
  15. // Master side
  16. output wire m_axis_tlast,
  17. output wire [AXIS_TDATA_WIDTH-1:0] m_axis_tdata,
  18. output wire m_axis_tvalid,
  19. input wire m_axis_tready,
  20. // BRAM port
  21. (* X_INTERFACE_INFO = "xilinx.com:interface:bram:1.0 b_bram CLK" *)
  22. output wire b_bram_clk,
  23. (* X_INTERFACE_INFO = "xilinx.com:interface:bram:1.0 b_bram RST" *)
  24. output wire b_bram_rst,
  25. (* X_INTERFACE_INFO = "xilinx.com:interface:bram:1.0 b_bram EN" *)
  26. output wire b_bram_en,
  27. (* X_INTERFACE_INFO = "xilinx.com:interface:bram:1.0 b_bram ADDR" *)
  28. output wire [BRAM_ADDR_WIDTH-1:0] b_bram_addr,
  29. (* X_INTERFACE_INFO = "xilinx.com:interface:bram:1.0 b_bram DOUT" *)
  30. input wire [BRAM_DATA_WIDTH-1:0] b_bram_rdata
  31. );
  32. reg [BRAM_ADDR_WIDTH-1:0] int_addr_reg, int_addr_next;
  33. reg [BRAM_ADDR_WIDTH-1:0] int_data_reg;
  34. reg int_enbl_reg, int_enbl_next;
  35. wire [AXIS_TDATA_WIDTH-1:0] int_data_wire;
  36. wire [2:0] int_last_wire, int_valid_wire, int_ready_wire;
  37. wire int_comp_wire;
  38. always @(posedge aclk)
  39. begin
  40. if(~aresetn)
  41. begin
  42. int_addr_reg <= {(BRAM_ADDR_WIDTH){1'b0}};
  43. int_data_reg <= {(BRAM_ADDR_WIDTH){1'b0}};
  44. int_enbl_reg <= 1'b0;
  45. end
  46. else
  47. begin
  48. int_addr_reg <= int_addr_next;
  49. int_data_reg <= cfg_data;
  50. int_enbl_reg <= int_enbl_next;
  51. end
  52. end
  53. assign int_comp_wire = int_addr_reg < int_data_reg;
  54. assign int_last_wire[0] = ~int_comp_wire;
  55. assign int_valid_wire[0] = int_enbl_reg;
  56. generate
  57. if(CONTINUOUS == "TRUE")
  58. begin : CONTINUE
  59. always @*
  60. begin
  61. int_addr_next = int_addr_reg;
  62. int_enbl_next = int_enbl_reg;
  63. if(~int_enbl_reg & int_comp_wire)
  64. begin
  65. int_enbl_next = 1'b1;
  66. end
  67. if(int_ready_wire[0] & int_enbl_reg & int_comp_wire)
  68. begin
  69. int_addr_next = int_addr_reg + 1'b1;
  70. end
  71. if(int_ready_wire[0] & int_enbl_reg & int_last_wire[0])
  72. begin
  73. int_addr_next = {(BRAM_ADDR_WIDTH){1'b0}};
  74. end
  75. end
  76. end
  77. else
  78. begin : STOP
  79. always @*
  80. begin
  81. int_addr_next = int_addr_reg;
  82. int_enbl_next = int_enbl_reg;
  83. if(~int_enbl_reg & int_comp_wire)
  84. begin
  85. int_enbl_next = 1'b1;
  86. end
  87. if(int_ready_wire[0] & int_enbl_reg & int_comp_wire)
  88. begin
  89. int_addr_next = int_addr_reg + 1'b1;
  90. end
  91. if(int_ready_wire[0] & int_enbl_reg & int_last_wire[0])
  92. begin
  93. int_enbl_next = 1'b0;
  94. end
  95. end
  96. end
  97. endgenerate
  98. output_buffer #(
  99. .DATA_WIDTH(1)
  100. ) buf_0 (
  101. .aclk(aclk), .aresetn(aresetn),
  102. .in_data(int_last_wire[0]), .in_valid(int_valid_wire[0]), .in_ready(int_ready_wire[0]),
  103. .out_data(int_last_wire[1]), .out_valid(int_valid_wire[1]), .out_ready(int_ready_wire[1])
  104. );
  105. input_buffer #(
  106. .DATA_WIDTH(AXIS_TDATA_WIDTH + 1)
  107. ) buf_1 (
  108. .aclk(aclk), .aresetn(aresetn),
  109. .in_data({int_last_wire[1], b_bram_rdata}),
  110. .in_valid(int_valid_wire[1]), .in_ready(int_ready_wire[1]),
  111. .out_data({int_last_wire[2], int_data_wire}),
  112. .out_valid(int_valid_wire[2]), .out_ready(int_ready_wire[2])
  113. );
  114. output_buffer #(
  115. .DATA_WIDTH(AXIS_TDATA_WIDTH + 1)
  116. ) buf_2 (
  117. .aclk(aclk), .aresetn(aresetn),
  118. .in_data({int_last_wire[2], int_data_wire}),
  119. .in_valid(int_valid_wire[2]), .in_ready(int_ready_wire[2]),
  120. .out_data({m_axis_tlast, m_axis_tdata}),
  121. .out_valid(m_axis_tvalid), .out_ready(m_axis_tready)
  122. );
  123. assign sts_data = int_addr_reg - int_valid_wire[1] - int_valid_wire[2] - m_axis_tvalid;
  124. assign b_bram_clk = aclk;
  125. assign b_bram_rst = ~aresetn;
  126. assign b_bram_en = int_ready_wire[0];
  127. assign b_bram_addr = int_addr_reg;
  128. endmodule